Commit a3a830a1 by 潘际乾
parents 2b654086 2ea7341a
......@@ -1570,8 +1570,9 @@ const CodeTable = {
{label:"AJAX",value:"A" },
],
cltprtflg:[
{label:"Windows Print System",value:"W" },
{label:"Server Print System",value:"S" },
{label:"Client Print System",value:"W" },
{label:"Generate Files ( PS/PDF )",value:"S" },
{label:"Windows Server Printing",value:"X" },
],
cmpflg:[
{label:"不计复息",value:"0" },
......@@ -5066,8 +5067,8 @@ const CodeTable = {
{label:"托收业务",value:"02" },
],
strflg:[
{label:"automatic",value:"A" },
{label:"manually",value:"M" },
{label:"automatic",value:"A" },
],
strnewind:[
{label:"生物",value:"0230" },
......@@ -5227,9 +5228,9 @@ const CodeTable = {
{label:"小于等于",value:"S" },
],
terflg:[
{label:"manually only",value:"M" },
{label:"on empty list",value:"O" },
{label:"at predefined time",value:"T" },
{label:"manually only",value:"M" },
],
tirtyp:[
{label:"Quarter",value:"DURQTR" },
......
......@@ -98,5 +98,12 @@ export default {
this.$notify.error({title: '错误',message: '服务请求失败!'});
}
},
async handleSearch() {
},
async handleReset(){
}
}
\ No newline at end of file
......@@ -5,15 +5,18 @@ export default {
async onLetpButgetref() {
let rtnmsg = await this.executeRule("letp.butgetref")
if (rtnmsg.respCode == SUCCESS) {
//TODO 处理数据逻辑
this.model.ledgrp.rec.ownref = rtnmsg.data.ledgrp_rec_ownref
this.$message({
type: 'success',
message: '获取成功!'
});
}
else {
this.$notify.error({ title: '错误', message: '服务请求失败!' });
}
},
async onBenpDet() {
let rtnmsg = await this.executeRule("benp.det")
let rtnmsg = await this.executeRule("letp.benp.det")
if (rtnmsg.respCode == SUCCESS) {
//TODO 处理数据逻辑
......
......@@ -45,7 +45,7 @@ export default {
"ledgrp.ben.namelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.cbs.nom1.amt": [
......@@ -55,12 +55,12 @@ export default {
],
"ledgrp.ben.pts.adrblk": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ type: "string", required: false, message: "必输项" },
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.ben.dbfadrblkcn": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rec.nomtop": [
......@@ -73,7 +73,7 @@ export default {
],
"ledgrp.ben.adrelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
......@@ -109,7 +109,7 @@ export default {
"ledgrp.iss.namelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rec.shpdat": [
{ type: "date", required: false, message: "输入正确的日期" }
......@@ -119,18 +119,18 @@ export default {
],
"ledgrp.iss.pts.adrblk": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.iss.dbfadrblkcn": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rec.expdat": [
{ type: "date", required: false, message: "输入正确的日期" }
],
"ledgrp.iss.adrelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rec.expplc": [
{ type: "string", required: false, message: "必输项" },
......@@ -156,7 +156,7 @@ export default {
"ledgrp.apl.namelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rec.oppbnk": [
......@@ -165,20 +165,20 @@ export default {
],
"ledgrp.apl.pts.adrblk": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.apl.dbfadrblkcn": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.apl.adrelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rec.apprultxt": [
{ type: "string", required: false, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
......@@ -191,7 +191,7 @@ export default {
"ledgrp.blk.mixdet": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"letp.avbp.ptsget.sdamod.dadsnd": [
{ type: "string", required: false, message: "必输项" },
......@@ -208,27 +208,27 @@ export default {
"ledgrp.avb.namelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.blk.avbwthtxt": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.avb.pts.adrblk": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.avb.dbfadrblkcn": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.avb.adrelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.blk.preper": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rec.prepers18": [
{ type: "string", required: false, message: "必输项" },
......@@ -238,21 +238,21 @@ export default {
"ledgrp.rec.prepertxts18": [
{ type: "string", required: false, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.blk.defdet": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.blk.feetxt": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.blk.dftat": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"letp.drwp.ptsget.sdamod.dadsnd": [
......@@ -270,20 +270,20 @@ export default {
"ledgrp.drw.namelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.drw.pts.adrblk": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.drw.dbfadrblkcn": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.drw.adrelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rec.shpfro": [
......@@ -346,36 +346,36 @@ export default {
"ledgrp.adv.namelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.apb.namelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.adv.pts.adrblk": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.adv.dbfadrblkcn": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.apb.pts.adrblk": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.apb.dbfadrblkcn": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.adv.adrelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.apb.adrelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.a2b.pts.ref": [
{ type: "string", required: false, message: "必输项" },
......@@ -413,35 +413,35 @@ export default {
"ledgrp.a2b.namelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rmb.namelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.a2b.pts.adrblk": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.a2b.dbfadrblkcn": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rmb.pts.adrblk": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rmb.dbfadrblkcn": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.a2b.adrelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.rmb.adrelc": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.con.pts.ref": [
......@@ -618,7 +618,7 @@ export default {
"ledgrp.blk.revnotes": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.cbs.max2.amt": [
......@@ -634,7 +634,7 @@ export default {
],
"ledgrp.blk.addamtcov": [
{ type: "string", required: true, message: "必输项" },
{ max: 35, message: "长度不能超过35" }
{ max: 140, message: "长度不能超过140" }
],
"ledgrp.blk.spcben": [
{ type: "string", required: true, message: "必输项" },
......
......@@ -315,6 +315,7 @@ export default class Letopn {
seainf: "", // .trnmod.trndoc.rcvatt.seainf
},
filrecv: "", // File Receiver .trnmod.trndoc.filrecv
doceot: [],
},
},
liaall: {
......
......@@ -23,6 +23,7 @@ export default class Mgrtsk{
seletyextkey:"", // Selected Entity ID .wfetsk.seletyextkey
seletgextkey:"", // Selected Entity Group .wfetsk.seletgextkey
wfestm:"", // Stream of WFE entries .wfetsk.wfestm
refno:"",
},
srvprt:{
exeflg1:"", // Print Documents .srvprt.exeflg1
......
......@@ -4,6 +4,9 @@ import Utils from "~/utils"
* Switsk Check规则
*/
let checkObj = {
"filtsk.tsklist.redotime" :null,
"filtsk.tsklist.downtimemm" :null,
"filtsk.tsklist.downtimehh" :null,
}
for (const key in checkObj) {
......
......@@ -6,5 +6,71 @@ import Api from "~/service/Api";
import Utils from "~/utils/index"
export default {
"srvdta.swtprttyp" :Utils.defaultFunction,
"srvdte.parprtflg" :Utils.defaultFunction,
"srvswi.swtprttyp" :Utils.defaultFunction,
"srvdta.errprtflg" :Utils.defaultFunction,
"srvdta.parprtflg" :Utils.defaultFunction,
"srvtci.parprtflg" :Utils.defaultFunction,
"srvswi.srvprtm.prt.prt" :Utils.defaultFunction,
"srvswi.srvprtm.prt.bin2" :Utils.defaultFunction,
"srvswi.exeflg" :Utils.defaultFunction,
"srvtli.exeflg" :Utils.defaultFunction,
"srvdte.fildir" :Utils.defaultFunction,
"srvtli.filext" :Utils.defaultFunction,
"srvswi.filext" :Utils.defaultFunction,
"srveli.exeflg" :Utils.defaultFunction,
"srvtci.fildir" :Utils.defaultFunction,
"srvemi.exeflg" :Utils.defaultFunction,
"srvdta.etydirflg" :Utils.defaultFunction,
"srvdte.exeflg" :Utils.defaultFunction,
"srvswi.fildir" :Utils.defaultFunction,
"srvdta.fildir" :Utils.defaultFunction,
"srvtci.filext" :Utils.defaultFunction,
"srvdta.filext" :Utils.defaultFunction,
"srvdte.etydirflg" :Utils.defaultFunction,
"srvtci.exeflg" :Utils.defaultFunction,
"srvdta.exeflg" :Utils.defaultFunction,
"srvboi.exeflg" :Utils.defaultFunction,
"srvtli.fildir" :Utils.defaultFunction,
"srvtci.etydirflg" :Utils.defaultFunction,
"srvdte.filext" :Utils.defaultFunction,
"srvswi.etydirflg" :Utils.defaultFunction,
"srvtci.swtprttyp" :Utils.defaultFunction,
"srvswi.srvprtm.prt.bin" :Utils.defaultFunction,
"srvdte.srvprtm.prt.tef" :Utils.defaultFunction,
"filtsk.tsklist.terflg" :Utils.defaultFunction,
"srvtci.srvprtm.prt.tef" :Utils.defaultFunction,
"filtsk.tsklist.downtimehh" :Utils.defaultFunction,
"srvswi.errprtflg" :Utils.defaultFunction,
"srvswi.parprtflg" :Utils.defaultFunction,
"srvdta.srvprtm.prt.tef" :Utils.defaultFunction,
"filtsk.tsklist.downtimemm" :Utils.defaultFunction,
"srvtli.prt.prttyp" :Utils.defaultFunction,
"srvtli.prt.cpycnt" :Utils.defaultFunction,
"filtsk.tsklist.logmod.logdsp.dstfilnam" :Utils.defaultFunction,
"srvdta.srvprtm.prt.prt" :Utils.defaultFunction,
"srvdta.srvprtm.prt.bin2" :Utils.defaultFunction,
"srvswi.srvprtm.prt.tef" :Utils.defaultFunction,
"srvdta.srvprtm.prt.cpycnt" :Utils.defaultFunction,
"srvtci.errprtflg" :Utils.defaultFunction,
"filtsk.tsklist.logmod.logdsp.logfil" :Utils.defaultFunction,
"srvtli.prt.tef" :Utils.defaultFunction,
"srvswi.srvprtm.prt.cpycnt" :Utils.defaultFunction,
"srvdte.swtprttyp" :Utils.defaultFunction,
"srvdte.errprtflg" :Utils.defaultFunction,
"srvtci.srvprtm.prt.cpycnt" :Utils.defaultFunction,
"srvdta.srvprtm.prt.bin" :Utils.defaultFunction,
"srvdte.srvprtm.prt.prt" :Utils.defaultFunction,
"srvdte.srvprtm.prt.bin2" :Utils.defaultFunction,
"srvdte.srvprtm.prt.bin" :Utils.defaultFunction,
"srvtli.prt.prt" :Utils.defaultFunction,
"srvtli.prt.bin" :Utils.defaultFunction,
"srvtci.srvprtm.prt.prt" :Utils.defaultFunction,
"srvtci.srvprtm.prt.bin" :Utils.defaultFunction,
"srvdte.srvprtm.prt.cpycnt" :Utils.defaultFunction,
"filtsk.tsklist.strflg" :Utils.defaultFunction,
"srvtci.srvprtm.prt.bin2" :Utils.defaultFunction,
"srvtli.prt.bin2" :Utils.defaultFunction,
}
//你可以添加自动default处理
......@@ -2,5 +2,101 @@ import Api from "~/service/Api"
import Utils from "~/utils"
export default {
async onTsklistButstr(){
let rtnmsg = await this.executeRule("tsklist.butstr")
if(rtnmsg.respCode == SUCCESS)
{
//TODO 处理数据逻辑
}
else
{
this.$notify.error({title: '错误',message: '服务请求失败!'});
}
},
async onTsklistButstp(){
let rtnmsg = await this.executeRule("tsklist.butstp")
if(rtnmsg.respCode == SUCCESS)
{
//TODO 处理数据逻辑
}
else
{
this.$notify.error({title: '错误',message: '服务请求失败!'});
}
},
async onTsklistButexe(){
let rtnmsg = await this.executeRule("tsklist.butexe")
if(rtnmsg.respCode == SUCCESS)
{
//TODO 处理数据逻辑
}
else
{
this.$notify.error({title: '错误',message: '服务请求失败!'});
}
},
async onTsklistButupd(){
let rtnmsg = await this.executeRule("tsklist.butupd")
if(rtnmsg.respCode == SUCCESS)
{
//TODO 处理数据逻辑
}
else
{
this.$notify.error({title: '错误',message: '服务请求失败!'});
}
},
async onLogdspButdsp(){
let rtnmsg = await this.executeRule("logdsp.butdsp")
if(rtnmsg.respCode == SUCCESS)
{
//TODO 处理数据逻辑
}
else
{
this.$notify.error({title: '错误',message: '服务请求失败!'});
}
},
async onTsklistButexi(){
let rtnmsg = await this.executeRule("tsklist.butexi")
if(rtnmsg.respCode == SUCCESS)
{
//TODO 处理数据逻辑
}
else
{
this.$notify.error({title: '错误',message: '服务请求失败!'});
}
},
async onLogdspButcpy(){
let rtnmsg = await this.executeRule("logdsp.butcpy")
if(rtnmsg.respCode == SUCCESS)
{
//TODO 处理数据逻辑
}
else
{
this.$notify.error({title: '错误',message: '服务请求失败!'});
}
},
async onLogdspButcls(){
let rtnmsg = await this.executeRule("logdsp.butcls")
if(rtnmsg.respCode == SUCCESS)
{
//TODO 处理数据逻辑
}
else
{
this.$notify.error({title: '错误',message: '服务请求失败!'});
}
},
}
\ No newline at end of file
export default {
"filtsk.tsklist.jobstrdat":[
{type: "date", required: false, message: "输入正确的日期"}
],
"filtsk.tsklist.jobstrtimhh":[
{type: "string", required: false, message: "必输项"},
{max: 2,message:"长度不能超过2"}
],
"filtsk.tsklist.jobstrtimmm":[
{type: "string", required: false, message: "必输项"},
{max: 2,message:"长度不能超过2"}
],
"filtsk.tsklist.downtimehh":[
{type: "string", required: false, message: "必输项"},
{max: 2,message:"长度不能超过2"}
],
"filtsk.tsklist.downtimemm":[
{type: "string", required: false, message: "必输项"},
{max: 2,message:"长度不能超过2"}
],
"filtsk.tsklist.redotime":[
{type: "string", required: false, message: "必输项"},
{max: 4,message:"长度不能超过4"}
],
"docimm.ascin":[
{type: "string", required: false, message: "必输项"},
{max: 1,message:"长度不能超过1"}
],
"docimm.prtswtrpblk":[
{type: "string", required: true, message: "必输项"},
{max: 200,message:"长度不能超过200"}
],
"docimm.xmldocblk":[
{type: "string", required: true, message: "必输项"},
{max: 200,message:"长度不能超过200"}
],
"docimm.prtswtpblk":[
{type: "string", required: true, message: "必输项"},
{max: 200,message:"长度不能超过200"}
],
"srvswi.parheatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvswi.errheatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvswi.srvprtm.prt.heatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvswi.srvprtm.prt.cpycnt":[
{type: "string", required: false, message: "必输项"},
{max: 2,message:"长度不能超过2"}
],
"srvswi.fildir":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvswi.filext":[
{type: "string", required: false, message: "必输项"},
{max: 3,message:"长度不能超过3"}
],
"srvtci.parheatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvtci.errheatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvtci.srvprtm.prt.heatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvtci.srvprtm.prt.cpycnt":[
{type: "string", required: false, message: "必输项"},
{max: 2,message:"长度不能超过2"}
],
"srvtci.fildir":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvtci.filext":[
{type: "string", required: false, message: "必输项"},
{max: 3,message:"长度不能超过3"}
],
"srvtli.prt.heatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvtli.prt.cpycnt":[
{type: "string", required: false, message: "必输项"},
{max: 2,message:"长度不能超过2"}
],
"srvtli.fildir":[
{type: "string", required: false, message: "必输项"},
{max: 12,message:"长度不能超过12"}
],
"srvtli.filext":[
{type: "string", required: false, message: "必输项"},
{max: 3,message:"长度不能超过3"}
],
"srvswi.srvprtm.prtmod.prttstblk":[
{type: "string", required: true, message: "必输项"},
{max: 200,message:"长度不能超过200"}
],
"srvtci.srvprtm.prtmod.prttstblk":[
{type: "string", required: true, message: "必输项"},
{max: 200,message:"长度不能超过200"}
],
"srvdte.parheatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvdte.errheatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvdte.srvprtm.prt.heatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvdte.srvprtm.prt.cpycnt":[
{type: "string", required: false, message: "必输项"},
{max: 2,message:"长度不能超过2"}
],
"srvdte.fildir":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvdte.filext":[
{type: "string", required: false, message: "必输项"},
{max: 3,message:"长度不能超过3"}
],
"srvdta.parheatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvdta.errheatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvdta.srvprtm.prt.heatxt":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvdta.srvprtm.prt.cpycnt":[
{type: "string", required: false, message: "必输项"},
{max: 2,message:"长度不能超过2"}
],
"srvdta.fildir":[
{type: "string", required: false, message: "必输项"},
{max: 40,message:"长度不能超过40"}
],
"srvdta.filext":[
{type: "string", required: false, message: "必输项"},
{max: 3,message:"长度不能超过3"}
],
"srvdta.srvprtm.prtmod.prttstblk":[
{type: "string", required: true, message: "必输项"},
{max: 200,message:"长度不能超过200"}
],
"filtsk.tsklist.logmod.logdsp.logfil":[
{type: "string", required: false, message: "必输项"},
{max: 80,message:"长度不能超过80"}
],
"filtsk.tsklist.logmod.logdsp.dstfilnam":[
{type: "string", required: false, message: "必输项"},
{max: 80,message:"长度不能超过80"}
],
}
\ No newline at end of file
......@@ -4,6 +4,168 @@ import Pts from "../Common/Pts"
export default class Switsk{
constructor () {
this.data = {
filtsk:{
tsklist:{
ininam:"", // Name of INI-File .filtsk.tsklist.ininam
timzonlab:"", // Label to display timezone .filtsk.tsklist.timzonlab
strflg:"", // Automatic Start Flag .filtsk.tsklist.strflg
jobstrdat:"", // Start Time of Job Date .filtsk.tsklist.jobstrdat
jobstrtimhh:"", // Start Time of Job HH .filtsk.tsklist.jobstrtimhh
jobstrtimmm:"", // Start Time of Job MM .filtsk.tsklist.jobstrtimmm
terflg:"", // Automatic Termination Flag .filtsk.tsklist.terflg
downtimehh:"", // Automatic Shutdown at hh .filtsk.tsklist.downtimehh
downtimemm:"", // Automatic Shutdown at mm .filtsk.tsklist.downtimemm
redotime:"", // Redotime .filtsk.tsklist.redotime
logmod:{
logdsp:{
logfil:"", // Source File .filtsk.tsklist.logmod.logdsp.logfil
dstfilnam:"", // File Name on Client .filtsk.tsklist.logmod.logdsp.dstfilnam
},
},
},
},
srvswi:{
exeflg:"", // Incoming Swift .srvswi.exeflg
fildirlab:"", // Label for FILDIR .srvswi.fildirlab
filextlab:"", // Label for FILEXT .srvswi.filextlab
filexttxt:"", // additional information for field FILEXT .srvswi.filexttxt
etydirflg:"", // Separate Directory per Entity .srvswi.etydirflg
swtprttyp:"", // Type of Print .srvswi.swtprttyp
parprtflg:"", // Partial Print .srvswi.parprtflg
parheatxt:"", // Text for Header .srvswi.parheatxt
errprtflg:"", // Error Print .srvswi.errprtflg
errheatxt:"", // Text for Header .srvswi.errheatxt
srvprtm:{
prt:{
heatxt:"", // Text for Header .srvswi.srvprtm.prt.heatxt
tef:"", // Technical Form .srvswi.srvprtm.prt.tef
getprt:"", // Default Printer from: .srvswi.srvprtm.prt.getprt
prt:"", // Printer .srvswi.srvprtm.prt.prt
bin:"", // Paperbin .srvswi.srvprtm.prt.bin
bin2:"", // Bin for 2nd Page .srvswi.srvprtm.prt.bin2
cpycnt:"", // # of Copies .srvswi.srvprtm.prt.cpycnt
},
prtmod:{
prttstblk:"", // XMLPanel prttst的内置block .srvswi.srvprtm.prtmod.prttstblk
},
},
fildir:"", // Directory for Files .srvswi.fildir
filext:"", // File Extension .srvswi.filext
},
srvtli:{
exeflg:"", // Incoming Telex .srvtli.exeflg
fildirlab:"", // Label for FILDIR .srvtli.fildirlab
filextlab:"", // Label for FILEXT .srvtli.filextlab
prt:{
prttyp:"", // Type of Print .srvtli.prt.prttyp
heatxt:"", // Text for Header .srvtli.prt.heatxt
tef:"", // Technical Form .srvtli.prt.tef
getprt:"", // Default Printer from: .srvtli.prt.getprt
prt:"", // Printer .srvtli.prt.prt
bin:"", // Paperbin .srvtli.prt.bin
bin2:"", // Bin for 2nd Page .srvtli.prt.bin2
cpycnt:"", // # of Copies .srvtli.prt.cpycnt
},
fildir:"", // Directory for Files .srvtli.fildir
filext:"", // File Extension .srvtli.filext
},
srvdta:{
exeflg:"", // Incoming Swift .srvdta.exeflg
fildirlab:"", // Label for FILDIR .srvdta.fildirlab
filextlab:"", // Label for FILEXT .srvdta.filextlab
filexttxt:"", // additional information for field FILEXT .srvdta.filexttxt
etydirflg:"", // Separate Directory per Entity .srvdta.etydirflg
swtprttyp:"", // Type of Print .srvdta.swtprttyp
parprtflg:"", // Partial Print .srvdta.parprtflg
parheatxt:"", // Text for Header .srvdta.parheatxt
errprtflg:"", // Error Print .srvdta.errprtflg
errheatxt:"", // Text for Header .srvdta.errheatxt
srvprtm:{
prt:{
heatxt:"", // Text for Header .srvdta.srvprtm.prt.heatxt
tef:"", // Technical Form .srvdta.srvprtm.prt.tef
getprt:"", // Default Printer from: .srvdta.srvprtm.prt.getprt
prt:"", // Printer .srvdta.srvprtm.prt.prt
bin:"", // Paperbin .srvdta.srvprtm.prt.bin
bin2:"", // Bin for 2nd Page .srvdta.srvprtm.prt.bin2
cpycnt:"", // # of Copies .srvdta.srvprtm.prt.cpycnt
},
prtmod:{
prttstblk:"", // XMLPanel prttst的内置block .srvdta.srvprtm.prtmod.prttstblk
},
},
fildir:"", // Directory for Files .srvdta.fildir
filext:"", // File Extension .srvdta.filext
},
srvtci:{
exeflg:"", // Incoming Swift .srvtci.exeflg
fildirlab:"", // Label for FILDIR .srvtci.fildirlab
filextlab:"", // Label for FILEXT .srvtci.filextlab
filexttxt:"", // additional information for field FILEXT .srvtci.filexttxt
etydirflg:"", // Separate Directory per Entity .srvtci.etydirflg
swtprttyp:"", // Type of Print .srvtci.swtprttyp
parprtflg:"", // Partial Print .srvtci.parprtflg
parheatxt:"", // Text for Header .srvtci.parheatxt
errprtflg:"", // Error Print .srvtci.errprtflg
errheatxt:"", // Text for Header .srvtci.errheatxt
srvprtm:{
prt:{
heatxt:"", // Text for Header .srvtci.srvprtm.prt.heatxt
tef:"", // Technical Form .srvtci.srvprtm.prt.tef
getprt:"", // Default Printer from: .srvtci.srvprtm.prt.getprt
prt:"", // Printer .srvtci.srvprtm.prt.prt
bin:"", // Paperbin .srvtci.srvprtm.prt.bin
bin2:"", // Bin for 2nd Page .srvtci.srvprtm.prt.bin2
cpycnt:"", // # of Copies .srvtci.srvprtm.prt.cpycnt
},
prtmod:{
prttstblk:"", // XMLPanel prttst的内置block .srvtci.srvprtm.prtmod.prttstblk
},
},
fildir:"", // Directory for Files .srvtci.fildir
filext:"", // File Extension .srvtci.filext
},
srvemi:{
exeflg:"", // Incoming Email .srvemi.exeflg
},
srvdte:{
exeflg:"", // Incoming Swift .srvdte.exeflg
fildirlab:"", // Label for FILDIR .srvdte.fildirlab
filextlab:"", // Label for FILEXT .srvdte.filextlab
filexttxt:"", // additional information for field FILEXT .srvdte.filexttxt
etydirflg:"", // Separate Directory per Entity .srvdte.etydirflg
swtprttyp:"", // Type of Print .srvdte.swtprttyp
parprtflg:"", // Partial Print .srvdte.parprtflg
parheatxt:"", // Text for Header .srvdte.parheatxt
errprtflg:"", // Error Print .srvdte.errprtflg
errheatxt:"", // Text for Header .srvdte.errheatxt
srvprtm:{
prt:{
heatxt:"", // Text for Header .srvdte.srvprtm.prt.heatxt
tef:"", // Technical Form .srvdte.srvprtm.prt.tef
getprt:"", // Default Printer from: .srvdte.srvprtm.prt.getprt
prt:"", // Printer .srvdte.srvprtm.prt.prt
bin:"", // Paperbin .srvdte.srvprtm.prt.bin
bin2:"", // Bin for 2nd Page .srvdte.srvprtm.prt.bin2
cpycnt:"", // # of Copies .srvdte.srvprtm.prt.cpycnt
},
},
fildir:"", // Directory for Files .srvdte.fildir
filext:"", // File Extension .srvdte.filext
},
srvboi:{
exeflg:"", // Incoming Bolero .srvboi.exeflg
},
cltprtflg:"", // Print System .cltprtflg
srveli:{
exeflg:"", // Incoming Elc .srveli.exeflg
},
docimm:{
ascin:"", // Message as stream .docimm.ascin
prtswtrpblk:"", // XMLPanel prtswtrp的内置block .docimm.prtswtrpblk
xmldocblk:"", // XMLPanel xmldoc的内置block .docimm.xmldocblk
prtswtpblk:"", // XMLPanel prtswtp的内置block .docimm.prtswtpblk
},
pageId: "" // ctx的key
}
}
......
......@@ -92,10 +92,10 @@ import Mt750p from "./Mt750p";
import Othadvtypp from "./Othadvtypp";
import Limitbody from "./Limitbody";
import Engp from "~/views/Public/Engp";
import Glepan from "~/views/Public/Glepan";
import Setpan from "~/views/Public/Setpan";
import Docpan from "~/views/Public/Docpan";
import Engp from "~/views/Public/Engp";
import Ccvpan from "~/views/Public/Ccvpan";
import Coninfp from "~/views/Public/Coninfp";
......
<template>
<div class="eibs-tab">
<c-col :span="24">
<c-col :span="4">
<el-form-item label="from" prop="diafro" label-width="50px">
<c-date-picker type="date" v-model="model.diafro" style="width:100%" placeholder="请选择Diary From date"></c-date-picker>
</el-form-item>
</c-col>
<c-col :span="4">
<el-form-item label="to" prop="diatil">
<c-date-picker type="date" v-model="model.diatil" style="width:50%" placeholder="请选择Diary Till date"></c-date-picker>
<c-list-search @form-reset="handleReset" @form-search="handleSearch">
<template>
<el-form
class="m-table-search-form"
ref="paramsForm"
:inline="true"
label-position="left"
label-width="110px"
size="small"
>
<el-row>
<c-col :span="12">
<el-form-item label="from">
<el-date-picker
type="date"
v-model="model.diafro"
style="width: 45%"
placeholder="请选择Diary From date"
></el-date-picker>
to
<el-date-picker
type="date"
v-model="model.diatil"
style="width: 45%"
placeholder="请选择Diary Till date"
></el-date-picker>
</el-form-item>
</c-col>
<c-col :span="8">
<c-col :span="12">
<el-form-item label="Reasons" prop="reasen">
<c-select
v-model="model.reasen"
style="width: 100%"
placeholder="请选择Reasons"
>
<el-option
v-for="item in codes.diatxt"
:key="item.value"
:label="item.label"
:value="item.value"
>
</el-option>
</c-select>
</el-form-item>
</c-col>
</el-row>
<el-row>
<c-col :span="12">
<el-form-item label="Reference" prop="searef">
<c-input
v-model="model.searef"
maxlength="16"
style="width: 100%"
placeholder="请输入Selection Reference"
></c-input>
</el-form-item>
</c-col>
<c-col :span="8">
<el-form-item label="USFTXT" prop="usfmod.flt">
<c-select v-model="model.usfmod.flt" style="width:100%" placeholder="请选择Filter">
<c-col :span="12">
<el-form-item label="Bus.Sector" prop="seasec">
<c-select
v-model="model.seasec"
style="width: 100%"
placeholder="请选择Business Sector"
>
<el-option
v-for="item in codes.bustxt"
:key="item.value"
:label="item.label"
:value="item.value"
>
</el-option>
</c-select>
</el-form-item>
</c-col>
</el-row>
<el-row>
<c-col :span="12">
<el-form-item label="Selection of Users" prop="usfmod.flt">
<c-select
v-model="model.usfmod.flt"
style="width: 60%"
placeholder="请选择Filter"
>
<el-option
v-for="item in codes.flt"
:key="item.value"
:label="item.label"
:value="item.value"
>
</el-option>
</c-select>
<c-button size="small" type="primary" style="width: 30%">
show set
</c-button>
</el-form-item>
</c-col>
<!--
<c-col :span="12">
<el-form-item
v-if="model.usfmod.flt == '<SELU>'"
label="Selected User"
prop="usfmod.usr.extkey"
>
<c-input
v-model="model.usfmod.usr.extkey"
maxlength="8"
placeholder="请输入User ID"
style="width: 70%"
></c-input>
<c-button size="small" type="primary;width:10%">i</c-button>
</el-form-item>
<el-form-item
v-if="model.usfmod.flt == '<SELB>'"
label="Select Branch"
prop="usfmod.selusb"
>
<c-select
v-model="model.usfmod.selusb"
style="width: 100%"
placeholder="请选择Select user branch"
>
</c-select>
</el-form-item>
</c-col>
</el-row>
<c-col :span="24"> </c-col>
</el-form>
</template>
</c-list-search>
<div style="margin-top: 20px">
<c-button
size="small"
type="primary"
@click="onButdet"
disabled="disabled"
>
Display
</c-button>
<c-button
size="small"
type="primary"
@click="onButdia"
disabled="disabled"
>
Modify
</c-button>
<c-button
size="small"
type="primary"
@click="onButdel"
disabled="disabled"
>
Delete
</c-button>
<c-button
size="small"
type="primary"
@click="onButprt"
disabled="disabled"
>
Print
</c-button>
<c-button
size="small"
type="primary"
disabled="disabled"
@click="onButconsel"
>
Selection
</c-button>
<c-button
size="small"
type="primary"
@click="onButconcal"
disabled="disabled"
>
Process
</c-button>
<c-button size="small" type="primary" disabled="disabled">
导Excel
</c-button>
</div>
<el-row>
<c-istream-table
:list="stmData.data"
:columns="stmData.columns"
:showSelection="true"
v-on:multipleSelect="multipleSelect"
>
</c-istream-table>
</el-row>
<el-row style="margin-top: 2rem">
<el-card class="box-card">
<div slot="header" class="clearfix">
<span>交易状态信息 —— {{ transactionStatus.busiNo }}</span>
</div>
<div class="text item">
<form class="el-form--label-left el-form--inline">
<div class="el-form-item">
<label class="el-form-item__label">修改次数:</label>
<div class="el-form-item__content">
<span>{{ transactionStatus.modTimes }}</span>
</div>
</div>
<div class="el-form-item">
<label class="el-form-item__label transstatus">交单次数:</label>
<div class="el-form-item__content">
<span>{{ transactionStatus.postCount }}</span>
</div>
</div>
<div class="el-form-item">
<label class="el-form-item__label transstatus">付款次数:</label>
<div class="el-form-item__content">
<span>{{ transactionStatus.earnCount }}</span>
</div>
</div>
<div class="el-form-item">
<label class="el-form-item__label transstatus">付款金额:</label>
<div class="el-form-item__content">
<span>{{ transactionStatus.earnAmt }} CNY</span>
</div>
</div>
</form>
</div>
</el-card>
</el-row>
<el-dialog :visible.sync="initdialog" :title="'交易列表'" append-to-body>
<div class="m-list-btns"></div>
</el-dialog>
<!--
<c-col :span="12">
<span v-text="model.usfmod.usftxt" data-path=".usfmod.usftxt" > </span>
</c-col>
......@@ -151,26 +354,60 @@
</div>
</template>
<script>
import Api from "~/service/Api"
import Api from "~/service/Api";
import CommonProcess from "~/mixin/CommonProcess";
import CodeTable from "~/config/CodeTable"
import Event from "~/model/Diasel/Event"
import CodeTable from "~/config/CodeTable";
import Event from "~/model/Diasel/Event";
export default {
inject: ['root'],
props:["model","codes"],
inject: ["root"],
props: ["model", "codes"],
mixins: [CommonProcess],
data(){
data() {
return {
}
initdialog: false,
transactionStatus: {
busiNo: "",
modTimes: 0,
postCount: 0,
accCount: 0,
earnCount: 0,
earnAmt: 0,
},
methods:{...Event},
created:function(){
}
}
stmData: {
columns: [
'1 1 "Date" 1',
'2 2 "Reason" 1',
'3 3 "Reference" 1',
'4 4 "Description" 1',
'5 5 "Transaction" 1',
'6 6 "User" 1',
'7 7 "Group" 1',
'8 8 "客户号" 1',
'9 9 "客户名称" 1',
'10 10 "币种" 1',
'11 11 "金额" 1',
'12 12 "预计核验" 1',
],
data: [],
},
relrowDisabled: true,
multipleSelection: [],
};
},
methods: {
multipleSelect(val) {
// TODO 根据Status判断按钮是否禁用
this.relrowDisabled = !(val.length > 0);
this.multipleSelection = val;
},
getSelectedData() {
return this.multipleSelection.map((idx) => this.stmData.data[idx]);
},
...Event,
},
created: function () {},
};
</script>
<style>
</style>
......@@ -5,7 +5,7 @@
<!--PD000001 -->
<el-tab-pane label="Diary Selection" name="diaselp">
<m-diaselp :model="model" :codes="codes"/>
<m-diaselp :model="model" :codes="codes" ref="diaselp"/>
</el-tab-pane>
</c-tabs>
</el-form>
......@@ -34,7 +34,7 @@ export default {
mixins: [CommonProcess], // 里面包含了Default、Check等的公共处理
data(){
return {
tabVal: "",
tabVal: "diaselp",
trnName: "diasel",
model: new Diasel().data,
checkRules: Check,
......@@ -42,6 +42,9 @@ export default {
pattern: Pattern,
rules: null,
codes: {
...CodeTable,
bustxt:[],
diatxt:[],
},
}
},
......@@ -49,13 +52,26 @@ export default {
tabClick(){
}
},
created:async function(){
debugger;
console.log("进入diasel交易");
// 查询码表
Api.post("getCodetable", { tbl:'DIATXT',lang:'EN' }).then((res) => {
if (res.respCode == SUCCESS) {
this.codes.diatxt = res.data;
}
});
Api.post("getCodetable", { tbl:'BUSTXT',lang:'EN' }).then((res) => {
if (res.respCode == SUCCESS) {
this.codes.bustxt = res.data;
}
});
let rtnmsg = await this.init({})
if(rtnmsg.respCode == SUCCESS)
{
//TODO 处理数据逻辑
debugger;
this.$refs.diaselp.stmData.data = rtnmsg.data.dspstm.rows;
}
else
......
......@@ -109,10 +109,10 @@
</c-col>
-->
<c-col :span="12">
<el-form-item label="保证金实收比例" prop="liaall.misamt">
<el-form-item label="保证金实收比例" prop="liaall.liaccv.relcshpct">
<c-row>
<c-col :span="8">
<c-input :readonly="true" v-model="model.liaall.misamt"></c-input>
<c-input :readonly="true" v-model="model.liaall.liaccv.relcshpct"></c-input>
</c-col>
<c-col :span="12" style="margin-left: 20px">
<span>%</span>
......@@ -274,7 +274,7 @@
<c-col :span="12">
<el-form-item label="Additional Information ">
<c-input type="textarea" rows="5" v-model="model.liaall.liaccv.addinf" maxlength="200" show-word-limit placeholder="请输入Additional Information " ></c-input>
<c-input type="textarea" :rows="5" v-model="model.liaall.liaccv.addinf" maxlength="200" show-word-limit placeholder="请输入Additional Information " ></c-input>
</el-form-item>
</c-col>
......
......@@ -73,7 +73,9 @@
-->
<el-tab-pane label="帐务" name="setpan">
<!--PD000000 -->
<c-content>
<m-setpan :model="model" :codes="codes" />
</c-content>
</el-tab-pane>
<el-tab-pane label="分录" name="glepan">
<m-glepan :model="model" :codes="codes" ref="glepan" />
......
......@@ -27,14 +27,14 @@
<!-- Special payment conditions for beneficiary -->
<c-col :span="24" class="letopn_adcp_cheak">
<c-checkbox v-model="model.ledgrp.rec.spcbenflg"
>受益人的特殊付款条件</c-checkbox
>受益人特殊付款条款</c-checkbox
>
</c-col>
<!-- Special payment conditions for receiving bank -->
<c-col :span="24" class="letopn_adcp_cheak">
<c-checkbox v-model="model.ledgrp.rec.spcrcbflg">
收款银行的特殊付款条件</c-checkbox
收款银行特殊付款条款</c-checkbox
>
</c-col>
......
<template>
<div class="eibs-tab">
<c-row>
<c-col :span="10">
<c-col :span="9">
<el-form-item label="业务编号" prop="ledgrp.rec.ownref">
<c-input
v-model="model.ledgrp.rec.ownref"
maxlength="16"
placeholder="请输入Reference"
:disabled="model.ledgrp.ben.pts.extkey ? false : true"
:disabled="true"
></c-input>
</el-form-item>
</c-col>
<c-col :span="2">
<c-button size="small" type="primary" @click="onLetpButgetref">
<c-col :span="3">
<c-button size="small" type="primary;width:10%" @click="onSeainf"
>i</c-button
>
<c-button
size="small"
type="primary"
:disabled="model.ledgrp.ben.pts.extkey ? false : true"
@click="onLetpButgetref"
>
获取
</c-button>
</c-col>
......@@ -88,10 +96,9 @@
></c-input>
</el-form-item>
</c-col>
<!-- Incoming Transfer -->
<c-col :span="4" class="centerLable">
<c-checkbox v-model="model.ledgrp.rec.inctrf"
>Incoming Transfer</c-checkbox
>
<c-checkbox v-model="model.ledgrp.rec.inctrf">传入传输</c-checkbox>
</c-col>
<!-- <c-col :span="12">
......
<template>
<div class="eibs-tab">
<c-col :span="12">
<el-form-item label="Special Payment Cond." prop="ledgrp.blk.spcben">
<c-input type="textarea" v-model="model.ledgrp.blk.spcben" maxlength="65" show-word-limit placeholder="请输入Special Payment Cond." ></c-input>
<c-col :span="19">
<el-form-item label="受益人特殊付款条款" prop="ledgrp.blk.spcben">
<c-input
type="textarea"
:rows="20"
v-model="model.ledgrp.blk.spcben"
maxlength="65"
show-word-limit
placeholder="请输入受益人特殊付款条款"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<c-button size="small" type="primary" icon="el-icon-search" @click="onSpcbenButtxmsel">
<c-col :span="2" class="letopn_dogp_button">
<c-button
size="small"
type="primary"
icon="el-icon-search"
@click="onSpcbenButtxmsel"
>
...
</c-button>
</c-col>
</div>
</template>
<script>
import Api from "~/service/Api"
import Api from "~/service/Api";
import CommonProcess from "~/mixin/CommonProcess";
import CodeTable from "~/config/CodeTable"
import Event from "~/model/Letopn/Event"
import CodeTable from "~/config/CodeTable";
import Event from "~/model/Letopn/Event";
export default {
inject: ['root'],
props:["model","codes"],
inject: ["root"],
props: ["model", "codes"],
mixins: [CommonProcess],
data(){
return {
}
data() {
return {};
},
methods:{...Event},
created:function(){
}
}
methods: { ...Event },
created: function () {},
};
</script>
<style>
</style>
<template>
<div class="eibs-tab">
<c-col :span="12">
<el-form-item label="Special Payment Cond." prop="ledgrp.blk.spcrcb">
<c-input type="textarea" v-model="model.ledgrp.blk.spcrcb" maxlength="65" show-word-limit placeholder="请输入Special Payment Cond." ></c-input>
<c-col :span="19">
<el-form-item label="收款银行特殊付款条款" prop="ledgrp.blk.spcrcb">
<c-input
type="textarea"
:rows="20"
v-model="model.ledgrp.blk.spcrcb"
maxlength="65"
show-word-limit
placeholder="收款银行特殊付款条款"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<c-button size="small" type="primary" icon="el-icon-search" @click="onSpcrcbButtxmsel">
<c-col :span="2" class="letopn_dogp_button">
<c-button
size="small"
type="primary"
icon="el-icon-search"
@click="onSpcrcbButtxmsel"
>
...
</c-button>
</c-col>
</div>
</template>
<script>
import Api from "~/service/Api"
import Api from "~/service/Api";
import CommonProcess from "~/mixin/CommonProcess";
import CodeTable from "~/config/CodeTable"
import Event from "~/model/Letopn/Event"
import CodeTable from "~/config/CodeTable";
import Event from "~/model/Letopn/Event";
export default {
inject: ['root'],
props:["model","codes"],
inject: ["root"],
props: ["model", "codes"],
mixins: [CommonProcess],
data(){
return {
}
data() {
return {};
},
methods:{...Event},
created:function(){
}
}
methods: { ...Event },
created: function () {},
};
</script>
<style>
</style>
......@@ -6,7 +6,7 @@
:model="model"
:rules="rules"
ref="modelForm"
label-width="200px"
label-width="150px"
size="small"
:validate-on-rule-change="false"
>
......@@ -62,42 +62,50 @@
</el-tab-pane>
<!--PD000000 -->
<el-tab-pane label="PD000000" name="coninfp">
<el-tab-pane label="附言" name="coninfp">
<m-coninfp :model="model" :codes="codes" />
</el-tab-pane>
<!--PD000529 -->
<el-tab-pane label="PD000529" name="docpan">
<el-tab-pane label="报文及面函" name="docpan">
<m-docpan :model="model" :codes="codes" />
</el-tab-pane>
<!--PD000546 -->
<el-tab-pane label="PD000546" name="doctre">
<el-tab-pane label="附件" name="doctre">
<m-doctre :model="model" :codes="codes" />
</el-tab-pane>
<!--PD000027 -->
<el-tab-pane label="PD000027" name="engp">
<el-tab-pane label="或有" name="engp">
<m-engp :model="model" :codes="codes" />
</el-tab-pane>
<!--PD000317 -->
<el-tab-pane label="PD000317" name="revp">
<!-- <el-tab-pane label="PD000317" name="revp">
<m-revp :model="model" :codes="codes" />
</el-tab-pane>
</el-tab-pane> -->
<!--PD000394 -->
<el-tab-pane label="PD000394" name="spcbenp">
<el-tab-pane
v-if="model.ledgrp.rec.spcbenflg"
label="受益人特殊付款条款"
name="spcbenp"
>
<m-spcbenp :model="model" :codes="codes" />
</el-tab-pane>
<!--PD000395 -->
<el-tab-pane label="PD000395" name="spcrcvp">
<el-tab-pane
v-if="model.ledgrp.rec.spcrcbflg"
label="收款银行特殊付款条款"
name="spcrcvp"
>
<m-spcrcvp :model="model" :codes="codes" />
</el-tab-pane>
<!--PD000001 -->
<el-tab-pane label="PD000001" name="glepan">
<el-tab-pane label="分录" name="glepan">
<m-glepan :model="model" :codes="codes" />
</el-tab-pane>
</c-tabs>
......@@ -122,17 +130,21 @@ import Dogp from "./Dogp";
import Dorp from "./Dorp";
import Adcp from "./Adcp";
// import Setpan from "./Setpan";
import Coninfp from "./Coninfp";
import Docpan from "./Docpan";
// import Coninfp from "./Coninfp";
// import Docpan from "./Docpan";
// import Glepan from "./Glepan";
// import Engp from "./Engp";
import Doctre from "./Doctre";
import Engp from "./Engp";
import Revp from "./Revp";
import Aamp from "./Aamp";
import Spcbenp from "./Spcbenp";
import Spcrcvp from "./Spcrcvp";
import Glepan from "./Glepan";
import Coninfp from "~/views/Public/Coninfp";
import Setpan from "~/views/Public/Setpan";
import Docpan from "~/views/Public/Docpan";
import Engp from "~/views/Public/Engp";
import Glepan from "~/views/Public/Glepan";
export default {
components: {
......
<template>
<div class="eibs-tab">
<c-col :span="22" :offset="1">
<c-table :border="true" :list="data" style="width:80%,text-align:center">
<el-table-column label="Execute" width="auto"></el-table-column>
<el-table-column label="Handler" width="auto"></el-table-column>
<el-table-column label="Service" width="auto"></el-table-column>
<el-table-column label="Stop" width="auto"></el-table-column>
<el-table-column label="Succ." width="auto"></el-table-column>
<el-table-column label="Retry" width="auto"></el-table-column>
<el-table-column label="Errors" width="auto"></el-table-column>
<el-table-column label="Threshold" width="auto"></el-table-column>
<el-table-column label="Consecutive Errors" width="auto"></el-table-column>
<el-table-column label="Lck" width="auto"></el-table-column>
<el-table-column label="Period for count (in hours)" width="auto"></el-table-column>
<el-table-column label="Configure" width="auto"></el-table-column>
</c-table>
</c-col>
</div>
</template>
<script>
import Api from "~/service/Api"
import Api from "~/service/Api";
import CommonProcess from "~/mixin/CommonProcess";
import CodeTable from "~/config/CodeTable"
import Event from "~/model/Mgrtsk/Event"
import CodeTable from "~/config/CodeTable";
import Event from "~/model/Mgrtsk/Event";
export default {
inject: ['root'],
props:["model","codes"],
inject: ["root"],
props: ["model", "codes"],
mixins: [CommonProcess],
data(){
data() {
return {
}
data:[],
};
},
methods:{...Event},
created:function(){
}
}
methods: { ...Event },
created: function () {},
};
</script>
<style>
</style>
<template>
<div class="eibs-tab">
<c-col :span="24">
<!-- ================左=============== -->
<c-col :span="11">
<c-col>(Services see 2nd Tab)</c-col>
<c-col>Running in foreground</c-col>
<c-col :span="12" :offset="12" style="margin-top: 105px">
<el-form-item label="Ref No" prop="wfetsk.refno" label-width="50px">
<c-input
v-model="model.wfetsk.refno"
placeholder="请输入"
></c-input>
</el-form-item>
</c-col>
</c-col>
<c-col :span="12">
<el-form-item label="Automatic Start Flag" prop="wfetsk.tsklist.strflg">
<c-select v-model="model.wfetsk.tsklist.strflg" style="width:100%" placeholder="请选择Automatic Start Flag">
<!-- ================右=============== -->
<c-col :span="11" :offset="1">
<c-col :span="24">
<el-form-item label="Start Processing" prop="wfetsk.tsklist.strflg">
<c-select
v-model="model.wfetsk.tsklist.strflg"
style="width: 100%"
placeholder="请选择Automatic Start Flag"
>
<el-option
v-for="item in codes.strflg"
:key="item.value"
:label="item.label"
:value="item.value"
>
</el-option>
</c-select>
</el-form-item>
</c-col>
<c-col :span="12">
<c-button size="small" type="primary" @click="onTsklistButstr">
&Start
</c-button>
<c-col :span="15">
<el-form-item label="Started" prop="wfetsk.tsklist.jobstrdat">
<c-date-picker
type="date"
v-model="model.wfetsk.tsklist.jobstrdat"
style="width: 100%"
placeholder="请选择"
></c-date-picker>
</el-form-item>
</c-col>
<c-col :span="12">
<c-button size="small" type="primary" @click="onTsklistButstp">
S&top
</c-button>
<c-col :span="4" :offset="1">
<el-form-item
label="h"
prop="wfetsk.tsklist.jobstrtimhh"
label-width="20px"
>
<c-input
v-model="model.wfetsk.tsklist.jobstrtimhh"
placeholder="请输入"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<span v-text="model.wfetsk.tsklist.bcklab" data-path=".wfetsk.tsklist.bcklab" > </span>
<c-col :span="4">
<el-form-item label="min" label-width="20px">
<c-input
v-model="model.wfetsk.tsklist.jobstrtimmm"
placeholder="请输入"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Start Time of Job Date" prop="wfetsk.tsklist.jobstrdat">
<c-date-picker type="date" v-model="model.wfetsk.tsklist.jobstrdat" style="width:100%" placeholder="请选择Start Time of Job Date"></c-date-picker>
<c-col :span="15">
<el-form-item label="Termination" prop="wfetsk.tsklist.terflg">
<c-select
v-model="model.wfetsk.tsklist.terflg"
style="width: 100%"
placeholder="请选择Automatic Termination Flag"
>
<el-option
v-for="item in codes.terflg"
:key="item.value"
:label="item.label"
:value="item.value"
>
</el-option>
</c-select>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Start Time of Job HH" prop="wfetsk.tsklist.jobstrtimhh">
<c-input v-model="model.wfetsk.tsklist.jobstrtimhh" placeholder="请输入Start Time of Job HH"></c-input>
<c-col :span="4" :offset="1">
<el-form-item
label="h"
prop="wfetsk.tsklist.downtimehh"
label-width="20px"
>
<c-input
v-model="model.wfetsk.tsklist.downtimehh"
placeholder="请输入"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Start Time of Job MM" prop="wfetsk.tsklist.jobstrtimmm">
<c-input v-model="model.wfetsk.tsklist.jobstrtimmm" placeholder="请输入Start Time of Job MM"></c-input>
<c-col :span="4">
<el-form-item label="min" prop="" label-width="20px">
<c-input
v-model="model.wfetsk.tsklist.downtimemm"
placeholder=""
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<span v-text="model.wfetsk.labetyextkey" data-path=".wfetsk.labetyextkey" > </span>
<c-col :span="16">
<el-form-item label="Restart Period" prop="wfetsk.tsklist.redotime">
<c-input
v-model="model.wfetsk.tsklist.redotime"
placeholder="请输入Redotime"
></c-input>
</el-form-item>
</c-col>
<!-- <c-col :span="16">
<span
v-text="model.wfetsk.tsklist.ininam"
data-path=".wfetsk.tsklist.ininam"
>
Initialization File
</span>
</c-col> -->
<c-col
><el-form-item
label="Initialization File"
prop="wfetsk.tsklist.ininam"
>
<span
v-text="model.wfetsk.tsklist.ininam"
data-path=".wfetsk.tsklist.ininam"
>
</span> </el-form-item
></c-col>
</c-col>
</c-col>
<c-col :span="12">
<el-form-item label="Automatic Termination Flag" prop="wfetsk.tsklist.terflg">
<c-select v-model="model.wfetsk.tsklist.terflg" style="width:100%" placeholder="请选择Automatic Termination Flag">
<!-- <c-col :span="12">
<el-form-item label="Automatic Start Flag" prop="wfetsk.tsklist.strflg">
<c-select
v-model="model.wfetsk.tsklist.strflg"
style="width: 100%"
placeholder="请选择Automatic Start Flag"
>
</c-select>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Automatic Shutdown at hh" prop="wfetsk.tsklist.downtimehh">
<c-input v-model="model.wfetsk.tsklist.downtimehh" placeholder="请输入Automatic Shutdown at hh"></c-input>
</el-form-item>
<c-button size="small" type="primary" @click="onTsklistButstr">
&Start
</c-button>
</c-col>
<c-col :span="12">
<el-form-item label="Automatic Shutdown at mm" prop="wfetsk.tsklist.downtimemm">
<c-input v-model="model.wfetsk.tsklist.downtimemm" placeholder="请输入Automatic Shutdown at mm"></c-input>
</el-form-item>
<c-button size="small" type="primary" @click="onTsklistButstp">
S&top
</c-button>
</c-col>
<c-col :span="12">
<el-form-item label="Selected Entity ID" prop="wfetsk.seletyextkey">
<c-select v-model="model.wfetsk.seletyextkey" style="width:100%" placeholder="请选择Selected Entity ID">
</c-select>
</el-form-item>
<span
v-text="model.wfetsk.tsklist.bcklab"
data-path=".wfetsk.tsklist.bcklab"
>
</span>
</c-col>
<c-col :span="12">
<span v-text="model.wfetsk.tsklist.timzonlab" data-path=".wfetsk.tsklist.timzonlab" > </span>
<span v-text="model.wfetsk.labetyextkey" data-path=".wfetsk.labetyextkey">
</span>
</c-col>
<c-col :span="12">
<el-form-item label="Redotime" prop="wfetsk.tsklist.redotime">
<c-input v-model="model.wfetsk.tsklist.redotime" placeholder="请输入Redotime"></c-input>
<el-form-item label="Selected Entity ID" prop="wfetsk.seletyextkey">
<c-select
v-model="model.wfetsk.seletyextkey"
style="width: 100%"
placeholder="请选择Selected Entity ID"
>
</c-select>
</el-form-item>
</c-col>
<c-col :span="12">
<span v-text="model.wfetsk.labetgextkey" data-path=".wfetsk.labetgextkey" > </span>
<span
v-text="model.wfetsk.tsklist.timzonlab"
data-path=".wfetsk.tsklist.timzonlab"
>
</span>
</c-col>
<c-col :span="12">
<el-form-item label="Selected Entity Group" prop="wfetsk.seletgextkey">
<c-input v-model="model.wfetsk.seletgextkey" maxlength="8" placeholder="请输入Selected Entity Group"></c-input>
</el-form-item>
<span v-text="model.wfetsk.labetgextkey" data-path=".wfetsk.labetgextkey">
</span>
</c-col>
<c-col :span="12">
<span v-text="model.wfetsk.tsklist.ininam" data-path=".wfetsk.tsklist.ininam" > </span>
<el-form-item label="Selected Entity Group" prop="wfetsk.seletgextkey">
<c-input
v-model="model.wfetsk.seletgextkey"
maxlength="8"
placeholder="请输入Selected Entity Group"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Stream of WFE entries" prop="wfetsk.wfestm">
<c-input v-model="model.wfetsk.wfestm" placeholder="请输入Stream of WFE entries"></c-input>
<c-input
v-model="model.wfetsk.wfestm"
placeholder="请输入Stream of WFE entries"
></c-input>
</el-form-item>
</c-col>
......@@ -124,30 +234,41 @@
<c-button size="small" type="primary" @click="onTsklistButexi">
E&xit
</c-button>
</c-col> -->
<c-col :span="22" :offset="1">
<c-table :border="true" :list="data" style="width:80%,text-align:center">
<el-table-column label="Entity" width="auto"></el-table-column>
<el-table-column
label="Object Identification"
width="auto"
></el-table-column>
<el-table-column label="Ident" width="auto"></el-table-column>
<el-table-column label="Service" width="auto"></el-table-column>
<el-table-column label="Status" width="auto"></el-table-column>
<el-table-column label="Retries" width="auto"></el-table-column>
<el-table-column label="Target" width="auto"></el-table-column>
</c-table>
</c-col>
</div>
</template>
<script>
import Api from "~/service/Api"
import Api from "~/service/Api";
import CommonProcess from "~/mixin/CommonProcess";
import CodeTable from "~/config/CodeTable"
import Event from "~/model/Mgrtsk/Event"
import CodeTable from "~/config/CodeTable";
import Event from "~/model/Mgrtsk/Event";
export default {
inject: ['root'],
props:["model","codes"],
inject: ["root"],
props: ["model", "codes"],
mixins: [CommonProcess],
data(){
data() {
return {
}
data:[],
};
},
methods:{...Event},
created:function(){
}
}
methods: { ...Event },
created: function () {},
};
</script>
<style>
</style>
......@@ -4,46 +4,86 @@
<c-tabs v-model="tabVal" ref="elment" type="card" @tab-click="tabClick">
<!--PD000054 -->
<el-tab-pane label="PD000054" name="tskmgr">
<el-tab-pane label="Task Manager" name="tskmgr">
<m-tskmgr :model="model" :codes="codes"/>
</el-tab-pane>
<!--PD000069 -->
<el-tab-pane label="PD000069" name="srvdsp">
<el-tab-pane label="Services" name="srvdsp">
<m-srvdsp :model="model" :codes="codes"/>
</el-tab-pane>
<!--PD000069 -->
<el-tab-pane label="PD000069" name="config">
<el-tab-pane label="Predecessors" name="config1">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="SWIFT发送" name="config2">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="TC发送" name="config3">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="检查ACK" name="config4">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="Finalize Order" name="config5">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="return to Zhongtai" name="config6">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="Pending CIPACK" name="config7">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="GPI" name="config8">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="衍生品额度释放" name="config9">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="衍生品额度业务信息同步" name="config10">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="ELCACK" name="config11">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<!--PD000060 -->
<el-tab-pane label="PD000060" name="prtswtp">
<!-- <el-tab-pane label="PD000060" name="prtswtp">
<m-prtswtp :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000062 -->
<el-tab-pane label="PD000062" name="prtswtrp">
<!-- <el-tab-pane label="PD000062" name="prtswtrp">
<m-prtswtrp :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000061 -->
<el-tab-pane label="PD000061" name="xmldoc">
<!-- <el-tab-pane label="PD000061" name="xmldoc">
<m-xmldoc :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000001 -->
<el-tab-pane label="PD000001" name="prttst">
<!-- <el-tab-pane label="PD000001" name="prttst">
<m-prttst :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000049 -->
<el-tab-pane label="PD000049" name="prtswtr">
<!-- <el-tab-pane label="PD000049" name="prtswtr">
<m-prtswtr :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
</c-tabs>
</el-form>
</div>
......@@ -85,15 +125,14 @@ export default {
mixins: [CommonProcess], // 里面包含了Default、Check等的公共处理
data(){
return {
tabVal: "",
tabVal: "tskmgr",
trnName: "mgrtsk",
model: new Mgrtsk().data,
checkRules: Check,
defaultRules: Default,
pattern: Pattern,
rules: null,
codes: {
},
codes: {...CodeTable},
}
},
methods:{
......
<template>
<div class="eContainer">
<el-form :model="model" :rules="rules" ref="modelForm" tokenKey="modelForm" label-width="170px" size="small" :validate-on-rule-change="false">
<c-tabs :value="tabVal" ref="elment" type="border-card" @tab-click="tabClick">
<c-tabs :value="tabVal" ref="elment" type="card" @tab-click="tabClick">
<el-tab-pane :value="tabVal" label="待办任务查询" name="menu">
<m-menu :model="model" :codes="codes" ref="Menu"/>
</el-tab-pane>
......
<template>
<div class="eibs-tab">
<c-col :span="12">
<el-form-item label="Automatic Start Flag" prop="filtsk.tsklist.strflg">
<c-select v-model="model.filtsk.tsklist.strflg" style="width:100%" placeholder="请选择Automatic Start Flag">
<c-col :span="24">
<!-- ============左========= -->
<c-col :span="11">
<c-col :span="24">
<el-form-item label="Service Types to be handled:"> </el-form-item>
</c-col>
<c-col :span="23" :offset="1">
<c-col :span="8">
<c-checkbox v-model="model.srvswi.exeflg"
>Incoming SWIFT</c-checkbox
>
</c-col>
<c-col :span="8">
<c-checkbox v-model="model.srvtli.exeflg"
>Incoming Telex</c-checkbox
>
</c-col>
<c-col :span="8">
<c-checkbox v-model="model.srvdta.exeflg"
>DTA Import L/C</c-checkbox
>
</c-col>
</c-col>
<c-col :span="23" :offset="1" style="margin-top: 10px">
<c-col :span="8">
<c-checkbox v-model="model.srvtci.exeflg">TradeConnect</c-checkbox>
</c-col>
<c-col :span="8">
<c-checkbox v-model="model.srvemi.exeflg"
>Incoming Email</c-checkbox
>
</c-col>
<c-col :span="8">
<c-checkbox v-model="model.srvdte.exeflg"
>DTA Export L/C</c-checkbox
>
</c-col>
</c-col>
<c-col :span="23" :offset="1" style="margin-top: 10px">
<c-col :span="8">
<c-checkbox v-model="model.srvboi.exeflg"
>Incoming Bolero</c-checkbox
>
</c-col>
<c-col :span="8">
<c-checkbox v-model="model.srveli.exeflg"
>ECL Import L/C</c-checkbox
>
</c-col>
</c-col>
<c-col :span="12" :offset="12" style="margin-top: 25px">
<el-form-item label="" prop="cltprtflg" label-width="10px">
<c-select
v-model="model.cltprtflg"
style="width: 100%"
placeholder="请选择Print System"
>
<el-option
v-for="item in codes.cltprtflg"
:key="item.value"
:label="item.label"
:value="item.value"
>
</el-option>
</c-select>
</el-form-item>
</c-col>
<c-col :span="12">
<c-button size="small" type="primary" @click="onTsklistButstr">
&Start
</c-button>
</c-col>
<c-col :span="12">
<c-checkbox v-model="model.srvswi.exeflg">Incoming Swift</c-checkbox>
<!-- ============右========= -->
<c-col :span="11" :offset="1">
<!-- <c-col :span="24">
<el-form-item
label="Automatic Start Flag"
prop="filtsk.tsklist.strflg"
>
<c-select
v-model="model.filtsk.tsklist.strflg"
style="width: 100%"
placeholder="请选择Automatic Start Flag"
>
</c-select>
</el-form-item>
</c-col> -->
<c-col :span="24">
<el-form-item label="Start Processing" prop="filtsk.tsklist.strflg">
<c-select
v-model="model.filtsk.tsklist.strflg"
style="width: 100%"
placeholder="请选择Automatic Start Flag"
>
<el-option
v-for="item in codes.strflg"
:key="item.value"
:label="item.label"
:value="item.value"
>
</el-option>
</c-select>
</el-form-item>
</c-col>
<c-col :span="12">
<c-checkbox v-model="model.srvtli.exeflg">Incoming Telex</c-checkbox>
<c-col :span="15">
<el-form-item label="Started" prop="filtsk.tsklist.jobstrdat">
<c-date-picker
type="date"
v-model="model.filtsk.tsklist.jobstrdat"
style="width: 100%"
placeholder="请选择"
></c-date-picker>
</el-form-item>
</c-col>
<c-col :span="12">
<c-checkbox v-model="model.srvdta.exeflg">Incoming Swift</c-checkbox>
<c-col :span="4" :offset="1">
<el-form-item
label="h"
prop="filtsk.tsklist.jobstrtimhh"
label-width="20px"
>
<c-input
v-model="model.filtsk.tsklist.jobstrtimhh"
placeholder="请输入"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<c-button size="small" type="primary" @click="onTsklistButstp">
S&top
</c-button>
<c-col :span="4">
<el-form-item label="min" label-width="20px" prop="filtsk.tsklist.jobstrtimmm">
<c-input
v-model="model.filtsk.tsklist.jobstrtimmm"
placeholder="请输入"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Start Time of Job Date" prop="filtsk.tsklist.jobstrdat">
<c-date-picker type="date" v-model="model.filtsk.tsklist.jobstrdat" style="width:100%" placeholder="请选择Start Time of Job Date"></c-date-picker>
<c-col :span="15">
<el-form-item label="Termination" prop="filtsk.tsklist.terflg">
<c-select
v-model="model.filtsk.tsklist.terflg"
style="width: 100%"
placeholder="请选择Automatic Termination Flag"
>
<el-option
v-for="item in codes.terflg"
:key="item.value"
:label="item.label"
:value="item.value"
>
</el-option>
</c-select>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Start Time of Job HH" prop="filtsk.tsklist.jobstrtimhh">
<c-input v-model="model.filtsk.tsklist.jobstrtimhh" placeholder="请输入Start Time of Job HH"></c-input>
<c-col :span="4" :offset="1">
<el-form-item
label="h"
prop="filtsk.tsklist.downtimehh"
label-width="20px"
>
<c-input
v-model="model.filtsk.tsklist.downtimehh"
placeholder="请输入"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Start Time of Job MM" prop="filtsk.tsklist.jobstrtimmm">
<c-input v-model="model.filtsk.tsklist.jobstrtimmm" placeholder="请输入Start Time of Job MM"></c-input>
<c-col :span="4">
<el-form-item label="min" prop="filtsk.tsklist.downtimemm" label-width="20px">
<c-input
v-model="model.filtsk.tsklist.downtimemm"
placeholder=""
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<c-checkbox v-model="model.srvtci.exeflg">Incoming Swift</c-checkbox>
<c-col :span="16">
<el-form-item label="Restart Period" prop="filtsk.tsklist.redotime">
<c-input
v-model="model.filtsk.tsklist.redotime"
placeholder="请输入Redotime"
></c-input>
</el-form-item>
</c-col>
<!-- <c-col :span="16">
<span
v-text="model.wfetsk.tsklist.ininam"
data-path=".wfetsk.tsklist.ininam"
>
Initialization File
</span>
</c-col> -->
<c-col
><el-form-item
label="Initialization File"
prop="filtsk.tsklist.ininam"
>
<span
v-text="model.filtsk.tsklist.ininam"
data-path=".filtsk.tsklist.ininam"
>
</span> </el-form-item
></c-col>
</c-col>
</c-col>
<c-col :span="12">
<c-checkbox v-model="model.srvemi.exeflg">Incoming Email</c-checkbox>
<!-- <c-col :span="12">
<c-button size="small" type="primary" @click="onTsklistButstr">
&Start
</c-button>
</c-col>
<c-col :span="12">
<c-checkbox v-model="model.srvdte.exeflg">Incoming Swift</c-checkbox>
<c-button size="small" type="primary" @click="onTsklistButstp">
S&top
</c-button>
</c-col>
<c-col :span="12">
<el-form-item label="Automatic Termination Flag" prop="filtsk.tsklist.terflg">
<c-select v-model="model.filtsk.tsklist.terflg" style="width:100%" placeholder="请选择Automatic Termination Flag">
</c-select>
<el-form-item
label="Start Time of Job Date"
prop="filtsk.tsklist.jobstrdat"
>
<c-date-picker
type="date"
v-model="model.filtsk.tsklist.jobstrdat"
style="width: 100%"
placeholder="请选择Start Time of Job Date"
></c-date-picker>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Automatic Shutdown at hh" prop="filtsk.tsklist.downtimehh">
<c-input v-model="model.filtsk.tsklist.downtimehh" placeholder="请输入Automatic Shutdown at hh"></c-input>
<el-form-item
label="Start Time of Job HH"
prop="filtsk.tsklist.jobstrtimhh"
>
<c-input
v-model="model.filtsk.tsklist.jobstrtimhh"
placeholder="请输入Start Time of Job HH"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Automatic Shutdown at mm" prop="filtsk.tsklist.downtimemm">
<c-input v-model="model.filtsk.tsklist.downtimemm" placeholder="请输入Automatic Shutdown at mm"></c-input>
<el-form-item
label="Start Time of Job MM"
prop="filtsk.tsklist.jobstrtimmm"
>
<c-input
v-model="model.filtsk.tsklist.jobstrtimmm"
placeholder="请输入Start Time of Job MM"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<span v-text="model.filtsk.tsklist.timzonlab" data-path=".filtsk.tsklist.timzonlab" > </span>
<el-form-item
label="Automatic Termination Flag"
prop="filtsk.tsklist.terflg"
>
<c-select
v-model="model.filtsk.tsklist.terflg"
style="width: 100%"
placeholder="请选择Automatic Termination Flag"
>
</c-select>
</el-form-item>
</c-col>
<c-col :span="12">
<c-checkbox v-model="model.srvboi.exeflg">Incoming Bolero</c-checkbox>
<el-form-item
label="Automatic Shutdown at hh"
prop="filtsk.tsklist.downtimehh"
>
<c-input
v-model="model.filtsk.tsklist.downtimehh"
placeholder="请输入Automatic Shutdown at hh"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<c-checkbox v-model="model.srveli.exeflg">Incoming Elc</c-checkbox>
<el-form-item
label="Automatic Shutdown at mm"
prop="filtsk.tsklist.downtimemm"
>
<c-input
v-model="model.filtsk.tsklist.downtimemm"
placeholder="请输入Automatic Shutdown at mm"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<el-form-item label="Redotime" prop="filtsk.tsklist.redotime">
<c-input v-model="model.filtsk.tsklist.redotime" placeholder="请输入Redotime"></c-input>
</el-form-item>
<span
v-text="model.filtsk.tsklist.timzonlab"
data-path=".filtsk.tsklist.timzonlab"
>
</span>
</c-col>
<c-col :span="12">
<el-form-item label="Print System" prop="cltprtflg">
<c-select v-model="model.cltprtflg" style="width:100%" placeholder="请选择Print System">
</c-select>
<el-form-item label="Redotime" prop="filtsk.tsklist.redotime">
<c-input
v-model="model.filtsk.tsklist.redotime"
placeholder="请输入Redotime"
></c-input>
</el-form-item>
</c-col>
<c-col :span="12">
<span v-text="model.filtsk.tsklist.ininam" data-path=".filtsk.tsklist.ininam" > </span>
<span
v-text="model.filtsk.tsklist.ininam"
data-path=".filtsk.tsklist.ininam"
>
</span>
</c-col>
<c-col :span="12">
......@@ -132,30 +335,33 @@
<c-button size="small" type="primary" @click="onTsklistButexi">
E&xit
</c-button>
</c-col> -->
<c-col :span="22" :offset="1">
<c-table :border="true" :list="data" style="width:80%,text-align:center">
<el-table-column label="File Name" width="auto"></el-table-column>
<el-table-column label="Done" width="auto"></el-table-column>
</c-table>
</c-col>
</div>
</template>
<script>
import Api from "~/service/Api"
import Api from "~/service/Api";
import CommonProcess from "~/mixin/CommonProcess";
import CodeTable from "~/config/CodeTable"
import Event from "~/model/Switsk/Event"
import CodeTable from "~/config/CodeTable";
import Event from "~/model/Switsk/Event";
export default {
inject: ['root'],
props:["model","codes"],
inject: ["root"],
props: ["model", "codes"],
mixins: [CommonProcess],
data(){
data() {
return {
}
data:[],
};
},
methods:{...Event},
created:function(){
}
}
methods: { ...Event },
created: function () {},
};
</script>
<style>
</style>
......@@ -4,52 +4,85 @@
<c-tabs v-model="tabVal" ref="elment" type="card" @tab-click="tabClick">
<!--PD000010 -->
<el-tab-pane label="PD000010" name="tskmgr">
<el-tab-pane label="Manager for Incoming Messages" name="tskmgr">
<m-tskmgr :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="Incoming SWIFT" name="config1">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="TradeConnect" name="config2">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="Telex" name="config3">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="DTA Export L/C" name="config4">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="DTA Import L/C" name="config5">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="E-mail" name="config6">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="Bolero" name="config7">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<el-tab-pane label="ECL Import L/C" name="config8">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
<!--PD000000 -->
<el-tab-pane label="PD000000" name="filtskp">
<!-- <el-tab-pane label="PD000000" name="filtskp">
<m-filtskp :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000049 -->
<el-tab-pane label="PD000049" name="prtswtr">
<!-- <el-tab-pane label="PD000049" name="prtswtr">
<m-prtswtr :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000062 -->
<el-tab-pane label="PD000062" name="prtswtrp">
<!-- <el-tab-pane label="PD000062" name="prtswtrp">
<m-prtswtrp :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000061 -->
<el-tab-pane label="PD000061" name="xmldoc">
<!-- <el-tab-pane label="PD000061" name="xmldoc">
<m-xmldoc :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000060 -->
<el-tab-pane label="PD000060" name="prtswtp">
<!-- <el-tab-pane label="PD000060" name="prtswtp">
<m-prtswtp :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000001 -->
<el-tab-pane label="PD000001" name="config">
<!-- <el-tab-pane label="PD000001" name="config">
<m-config :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000001 -->
<el-tab-pane label="PD000001" name="prttst">
<!-- <el-tab-pane label="PD000001" name="prttst">
<m-prttst :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
<!--PD000009 -->
<el-tab-pane label="PD000009" name="dwndst">
<!-- <el-tab-pane label="PD000009" name="dwndst">
<m-dwndst :model="model" :codes="codes"/>
</el-tab-pane>
</el-tab-pane> -->
</c-tabs>
</el-form>
</div>
......@@ -93,15 +126,14 @@ export default {
mixins: [CommonProcess], // 里面包含了Default、Check等的公共处理
data(){
return {
tabVal: "",
tabVal: "tskmgr",
trnName: "switsk",
model: new Switsk().data,
checkRules: Check,
defaultRules: Default,
pattern: Pattern,
rules: null,
codes: {
},
codes: {...CodeTable},
}
},
methods:{
......
......@@ -23,6 +23,7 @@
@keyup.enter.native="
showGridPromptDialog(`${argadr.grp}.${argadr.rol}.pts.extkey`)
"
@change="valueChange"
></c-input>
</el-form-item>
</c-col>
......@@ -49,11 +50,12 @@
>
<c-input
type="textarea"
:rows="3"
:rows="4"
v-model="model[argadr.grp][argadr.rol].pts.adrblk"
maxlength="35"
show-word-limit
placeholder="请输入名称地址"
:disabled="disabled"
></c-input>
</el-form-item>
</c-col>
......@@ -146,7 +148,15 @@ export default {
onAplpDet() {
this.$emit("onAplpDet");
},
valueChange(val) {
if (this.onlySearch) return;
this.showGridPromptDialog(
`${this.argadr.grp}.${this.argadr.rol}.pts.extkey`
);
},
},
created: function () {},
};
</script>
......
......@@ -87,7 +87,7 @@
>
<el-table-column label="Payer" prop="ptydbt" width="auto">
<template slot-scope="scope">
<el-select v-model="scope.ptydbt">
<el-select v-model="scope.row.ptydbt">
<el-option
v-for="item in codes.ptydbt"
:key="item.value"
......@@ -100,9 +100,9 @@
</el-table-column>
<el-table-column label="Payee" width="auto">
<template slot-scope="scope">
<el-select v-model="scope.Payee">
<el-select v-model="scope.row.payee">
<el-option
v-for="item in codes.Payee"
v-for="item in codes.payee"
:key="item.value"
:label="item.label"
:value="item.value"
......@@ -129,7 +129,7 @@
</el-table-column>
<el-table-column label="Currency" prop="cur" width="auto">
<template slot-scope="scope">
<el-select v-model="scope.cur">
<el-select v-model="scope.row.cur">
<el-option
v-for="item in codes.cur"
:key="item.value"
......@@ -173,7 +173,7 @@
<el-form :model="dialog" label-width="200px">
<c-col :span="16" >
<el-form-item label="收款人">
<el-select v-model="dialog.ptypay" style="width: 100%">
<el-select v-model="dialog.payee" style="width: 100%">
<el-option
v-for="item in codes.payee"
:key="item.value"
......@@ -443,7 +443,7 @@ export default {
this.model.setmod.setfog.setfol.pop();
},
saveDialog() {
this.visiable = false;
this.dialogVisible = false;
this.model.setmod.setfog.setfol[this.index] = this.dialog;
},
// 第二个表格
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment